博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
UVM:7.7.1 使用reg_predictor
阅读量:4219 次
发布时间:2019-05-26

本文共 391 字,大约阅读时间需要 1 分钟。

1.左图的方式依赖driver,当driver 将读取值返回后,寄存器模型会更新镜像值和镜像值和期望值。被称为寄存器模型的auto predict 功能。使用如下语句打开此功能:

2.右图另外一种形式。monitor 将总线收集到的transaction交给寄存器模型,后者更新相应寄存器的值。

3.用这种方法更新数据,需要理会一个reg_predictor,并例化:

1)要将reg_predictor和 bus_agt的 ap 连接,并设置reg_predictor 的adapter 和map。

2)只有设置map 后,才能将predictor 和寄存器模型关联在一起。

4.只有一个主设备时,左右两图完全相等。如果有多个,左图会漏掉某些transaction。

5.添加完后,其实存在两条寄存器模型的路径,右图:1.虚线 2.predictor。如果要彻底关闭虚线更新路径:

你可能感兴趣的文章
学校的日子
查看>>
我的项目,我的起点
查看>>
决定不逃课了~~~
查看>>
遇到技术问题~~
查看>>
终于弄懂了聊天室的各种技术了
查看>>
母函数算法---组合数学
查看>>
分手快乐---(哪个更好呢)
查看>>
要考试--大敌当前
查看>>
linux 编译技术 6级强化
查看>>
扩大工作室?
查看>>
拜读ms的开源代码
查看>>
下一个技术瓶颈 ~~
查看>>
谢谢让我看到了这本书
查看>>
不牵手的浪漫
查看>>
姥姥的生日~~
查看>>
网游~~
查看>>
promise
查看>>
对过楼着火了~
查看>>
list
查看>>
放松了一个晚上,继续~~
查看>>